您好,欢迎来到九壹网。
搜索
您的当前位置:首页采用VHDL设计数字电路的优化方法

采用VHDL设计数字电路的优化方法

来源:九壹网
第l3卷第2期 2O02年6月 中原工学院学报 Jol瓜NAL OF ZI-IONGYUAN INSTITUTE OF TECHNOLOGY Vol。13 No.2 June,2O02 文章编号:1671—69O6(200 )02一( ̄70-03 采用VHDL设计数字电路的优化方法 董秀洁,高 辉,张丽娜 (中原工学院电气工程系,河南郑州450007) 摘要: 阐述了VHDL语言的特点及设计流程,列举实例并给出VHDL语言的优化设计方法 关键词:VHDL;优化设计;EDA 文献标识码: A 中图分类号:TN492 90年代以来,可编程逻辑器件(FPG CPLD)得到 编语言,如C语言.但应注意的是VHDL毕竟描述的是 硬件,它包含许多硬件特有的结构. 飞速发展,正向高集成度、高速度、大容量、低电压、低 功耗不断迈进,由于它具有在系统可编程(ISP)/在线 路从配置(ICR)技术,使得CPLD/FPGA具有开发周期 短、设计方案变更容易、无风险投资等优点 l】.同时’ FPGMCPLD器件的开发工具也在不断发展,就开发工 2 VHDL的设计步骤 一个实际系统的设计 ],一般分成两步,其一是系 统逻辑设计和仿真验证;其二是综合生成系统,如印刷 电路板的布局、布线、版图设计等,得出最终的版图设 计.利用VHDL对系统设计时,设计者首先要以VHDL 具来说大多都支持Graphic Editor和Text Editor,目前对 于Text Editor用的较多的是VⅧ)L(Very High Speed In. tergrated Cireit Hardware Description Language),它是硬件 系统设计的标准语言,用编程的方式对电路系统进行 语言描述设计项目,通过先进的仿真工具对源文件进 行编译、仿真,对于仿真一般进行行为仿真、RTL级仿 真和门级仿真,每级达到的仿真是不一样的,一般前两 种为功能仿真,后一种为时序仿真.此过程的验证、纠 错,有利于早期发现设计方案中的错误,避免风险,项 行为或结构的描述和定义,遵循的标准为IEEE.1164 和IEEE1076,使硬件电路软件化. 本文通过简单的VHDL实例的分析,给出用VHDL 语言优化设计的技巧. 目仿真验证之后,还将VHDL设计进行综合,VHDL综 合工具利用所提供的工艺库,以面积、功耗、速度等为 目标进行优化,将电路映射为选定工艺的网表,得到门 级,接下来是项目设计的实现,即转化成硬件电路.逻 1 VHDL的设计特点 VHI)L语言的描述能力极强,覆盖了逻辑设计的 诸多领域和层次,并支持众多的硬件模型,设计者的原 始描述是非常简练的硬件描述,经过EDA工具综合处 辑综合过程可用图1示意.值得注意的是,VHI)L语言 理,最终生成付诸生产的电路描述或版图参数描述的 工艺文件.VHDL语言具有良好的可读性,是一种集设 计、模拟、综合为一体的标准硬件描述语言,它不需要 首先选择具体的器件.另外,VHDL语言的可移植性很 强,还可重复利用他人的II)模块(具有知识产权的功 能模块),使许多设计不必每个都从头开始,只要在更 高的层次上把II)模块利用起来就行了.VHDL就如同 高级语言,VHDL在语法和风格上类似于现代高级汇 收稿日期:2O02—03—11 作者简介:董秀洁(1957一),女,山东潍坊人,副教授 厂—] l门级网lI络表 I1 ............-_J 图1逻辑综合过程 第2期 董秀洁等:采用VHDL设计数主皇堕 dffx:dffport map(x(i),clk,x(i+1)); end generate; : : 设计的最终实现,取决于针对目标器件的编程,工具不 同,导致综合的质量也不同.在项目设计完成后,一般 还要进行故障、延时等分析,确保系统设计万无一失. q<=x(4); end behave; 3优化设计方法 3.1优化设计实例 例2: library ieee; use ieee.std在对电路进行设计时,要充分利用VHDL所具有 logic一1164.all; 的丰富的描述语句,对同一个电路可用不同的语句实 现,从中选择最优设计方案.然而,有许多设计者认为, 用VHDL语言进行设计的系统,一定会比用原理图描 述设计的要好,事实并非如此,笔者在实践中发现,用 entiy sthiftl is ogic; orpt(d,clk;in stdlqout:buffer stdend shift; logic—vector(3 downto 0)); VHDL设计时,若语句、结构使用不同,其结果会有很 大的差异.下面的例子就是用不同的结构描述方式对 architecture behave of shiftl is egbin 简单四位移位寄存器电路进行的设计. 例1 library ieee; use ieee.stdlogic1164.all; process(dk) egbin if(clk’event and clk=”1”、then 一qout<=d&qout(3 downto 1); end if; end proaess; end behave; entity shift is port(d,clk;in stdlogic; q:out stdend shift; —logic); 例1采用的是行为描述方式设计的,其仿真波形 如图2所示.从形式上看,该描述方式语句较简练,从 architecture behave of shift is; component dffis ortp(d,clk:in std—logic; q:out std—仿真波形可看出时延较大.另外采用行为描述方式还 会给综合工具提供很大的冗余.例2采用结构描述方 式,虽所用语句比例1要稍复杂些,但它最能反映一个 设计硬件方面的特征,且综合后的电路规模比行为描 述方式要少,其中,Peak memory allocated duriyr compita. logic); end component; signal X:std—logic—vector(4 downto 0); egbin tion=9 942 k,而在例1中显示的是11 318 k.另外,延 时减少了20%.由此可知,要实现电路的优化设计,合 理选择VHDL语言的描述方式是至关重要的. x(O)<=d; registerl;for i in 0 to 3 generate MAX+Plus II 9.21 File:D:',SHIFI'I SCF 图2行为描述的四位移位寄存器仿真波形 3.2优化设计方法 们各有其特点,设计者应视项目的大小、项目对速度、 面积、延时等要求合理选用.另外,在设计中灵活运用 子程序语句、包集合、库及配置语句和元件例化语句, 是项目优化设计的关键. 笔者在用VHDL对电路进行设计的实践中,总结 出了如下优化设计的方法: (1)合理选用描述方法.在VHDL设计中常见的 描述方法有3种,即Netlist、Equation、Behavior,有时也 (2)综合技巧.在项目设计过程中,应将系统设计 (下转第76页) 将它们称之为:Structural、Date Flow、Behaviord描述.它 ・76・ 中原工学院学报 2002年第13卷 面、虚拟界面等等新兴技术支持下的人机交互技术. CATD技术将使纺织品设计朝着优化设计、多元 化、一体化的方向发展,使其与服装等最终产品的一致 性更加自然协调,设计的表现手法将更为先进、有效. 随着信息技术的进一步发展,纺织品设计模式在信息 化的基础上,必然朝着数字化、集成化、网络化、智能化 的方向发展. 参考文献: [1]Robert H S.Computational model for conceptual design based on extendedflmction logic ̄Jj Analysis andManufacturing,1996,(10):255—274. [2] 钱晓明,王宇,胡列平.基于电子商务的产品数据库管理[J].CAD/CAM计算机辅助设计与制造,2001,(2):9—12. [3] 潘云鹤,孙守迁,包恩伟.计算机辅助工业设计发展状况与趋势[J].计算机辅助设计与图形学学报,1999,5(11):248—250 [4] Ah陷hafn Sberschatz}lenry F.Koala s.Sudarshan.DataBase System Concepts[M].Beijing China Machine Press,2000,(2):483—502. [5] 陈文伟.智能决策技术[M].北京:电子工业出版社,1998 [6] 愈杰,耿卫东,潘云鹤.面向产品创新设计的CAD方法综述[JI_计算机辅助设计与图形学学报,1999,11(2):185—188. Computer Aided Textile Design Technology HAN Ling,LIU Rang—tong (Xi’an Institute of Science&Technology,Xi’an 710048,China) Abstract:This paper describes tl1e connotation of computer aided textile design at first.Then.it summarizes the cur— rent research situation of computer aided textile desin technologgy from three aspects.At the salTle time,this paper points out the key technology in the ifeld of computer iaded textile desin gby compairson to ohter computer iaded desin,whigch need to be solved.Finally,the developing trend of computer ided taextile desin tgechnology is analyzed. Key words: CAD;CATD;artiicial ifntelligence (上接第7l页) 规划为多个层次,分多个VHDL模块分别进行仿真、综 合,以便在项目中方便调用.另外,在CPLD/FPGA的设 计中速度和面积是一对矛盾,在这方面要合理解决,并 应视项目的要求折衷考虑. (3)合理选择器件.一个好的设计,不仅在于软件 案,这样才能充分利用现有逻辑资源把握对设计过程 的控制能力,才能充分利用CPLD/FPGA器件,降低成 本,才能使设计最优化.另外,值得注意是:FPGA采用 的是RAM技术 J,输入的配置文件掉电会丢失,在实 际使用时,常需一片PROM(或EPROM)来专门存放配 置文件,每次系统上电时,FPGA先从PROM(或 EPROM)读入配置文件,由于PROM和EPROM的保密 的优化,同时还包括硬件设计的优化.目前,CPLD/FP. GA规模种类繁多,其工艺特点和开发工具也各有不 同,因此,在项目设计之前,必须了解你所选用器件的 工艺特点、规模大小、性能指标和开发工具,针对具体 问题进行多种方案设计,经仿真、综合后,选出最佳方 性差,知识产权会被偷窃,所以,应将CPLD与FPGA混 合使用,将部分内容以肛D的格式写入CPLD,这样就 兼有了FPGA容量大和CPLD保密性强优点. 参考文献: [1] 忠业.PLD技术的发展与展望[J].电子产品世界,2001,(6):16—17 [2] 朱如琪.在系统可编程技术实践教程[n].北京:科学出版社,2001. [3].王退.EDA技术的应用[j].煤炭科学技术.1999,(7):49—51. Optimum Design in the Circuit Using VHDL DONG Xiu.jie,GAO hui.ZHANG Li.na (Electricity Engineering Dept.,Zhongyuan Institute of Technology,Zhengzhou 450007,China) Abstract: In this paper VHDL’S characters and technological process of the desin are introducedand examplges,and .igven VHDL’s the way of optimize desin.g Key w ̄'ds: VHDL;optimum desin;EDA g

因篇幅问题不能全部显示,请点此查看更多更全内容

Copyright © 2019- 91gzw.com 版权所有 湘ICP备2023023988号-2

违法及侵权请联系:TEL:199 18 7713 E-MAIL:2724546146@qq.com

本站由北京市万商天勤律师事务所王兴未律师提供法律服务